[comp.lsi.cad] help reqd. in VHDL

savel@hoss.unl.edu (Bharat P. Savel) (12/15/90)

i am having problems in the binding; i can't seem to bind generic maps; 
entity goes this way
entity name is
  generic (
      a : some_type
          );
  port (
      b : some_other_type;
      c : yet_another-type;
       );
end name;
---
architecture XX of name is
    ^
    |
    |
    |      
    v
end XX;
--- 
entity bench_name is
end bench_name;
---
architecture XX of bench_name is
  component name 
     generic(
       a : some_type;
            );
     port(
        b :  //
        c :  //
            );
   end component;
for all : name use work.entity name (XX)
     generic map(
        a => open
                );
     port map (
        b => b;
        c => c
              );
begin
     ^
     |
     |
     v
end;
-----------------------------------------------
for some reason i cannot have this kind of binding for generic maps; in
the past i have never used mapping for generics; the port maps work fine 
this way; any idea what am i dong wrong?

thanks 


    
--
--------------------------------------------------------------------------------
Bharat P. Savel
EE Dept.                                       e-mail : savel@engde001.unl.edu
Univ of Nebraska-Lincoln                           Ph : (402) 477-9857