[comp.emacs] Verilog mode for GNU emacs

andersn@bcars471.bnr.ca (Anders Nordstrom) (03/23/91)

Some time ago I posted a question for a Verilog mode in emacs and since
I didn`t find anything useful at that time I ended up writing my own.
The following code is by no means perfect but it helps when writing 
verilog code in emacs. If you use it and find or fix bugs please let me
know or post it.

;; Verilog  HDL mode, and its special commands.
;;
;; Created by Anders Nordstrom
;; Bell Northern Research Ltd.
;; Ottawa, Canada
;;
;; 910322 version 2.2
;;
;; Major mode for editing Verilog code with the GNU emacs editor.
;; This mode is written by Anders Nordstrom but it borrows some
;; ideas and functions from the C code editing mode by Free Software
;; Foundation since verilog is similar to "C" however the begin/end
;; constructs in verilog is quite different.
;;
;; This Verilog mode is distributed in the hope that it will be
;; useful, but without any warranty or guarantee of support.
;; How ever if you use it and find it useful and modify
;; it i.e. improve it please send a copy to andersn@bnr.ca
;;
;; The insertion of begin and end should be handled by one
;; defun since it doesn't always indent it in the right way.
;; 
;; Modification History:
;; 910221 - v1.0 Initial version using the indent function from c-mode.el
;;               but no support for verilog begin / end statements and
;;               the handling of {} did not work.
;; 910310 - v2.0 Changed the veriog-end and verilog-begin defuns
;;               to insert begin and end instead of braces. Fixed some
;;               bugs in the indentation. Added the center-line and center-
;;               paragraph functions, mostly for documentation purposes.
;; 910320 - v2.1 Added the verilog-header and verilog-modify-header functions
;;               so that all verilog HDL files in a project have the same
;;               structure and it also inserts verilog module statementes
;;               with the name in the empty file. The verilog-modify-header
;;               inserts a modification comment in the current header comment.
;; 910320 - v2.2 Added the functions verilog-always and verilog-initial
;;               for inserting the structure of a initial and always block.

(defvar verilog-mode-abbrev-table nil
  "Abbreviation table used in Verilog HDL mode buffers.")
(define-abbrev-table 'verilog-mode-abbrev-table ())

(defvar verilog-mode-syntax-table ()
  "Syntax table used in Verilog HDL mode buffers.")
(if verilog-mode-syntax-table
    ()
  (setq verilog-mode-syntax-table (make-syntax-table))
  (modify-syntax-entry ?\\ "\\" verilog-mode-syntax-table)
  (modify-syntax-entry ?/ ". 14" verilog-mode-syntax-table)
  (modify-syntax-entry ?* ". 23" verilog-mode-syntax-table)
  (modify-syntax-entry ?+ "." verilog-mode-syntax-table)
  (modify-syntax-entry ?- "." verilog-mode-syntax-table)
  (modify-syntax-entry ?= "." verilog-mode-syntax-table)
  (modify-syntax-entry ?% "." verilog-mode-syntax-table)
  (modify-syntax-entry ?< "." verilog-mode-syntax-table)
  (modify-syntax-entry ?> "." verilog-mode-syntax-table)
  (modify-syntax-entry ?& "." verilog-mode-syntax-table)
  (modify-syntax-entry ?| "." verilog-mode-syntax-table)
  (modify-syntax-entry ?\' "\"" verilog-mode-syntax-table))

;; Definition of various useful constants determining indentation
;; of statements in Verilog HDL mode.

(defconst verilog-indent-level 8
 "Indentation of Verilog HDL statements with respect to containing block.")
(defconst verilog-beginimaginary-offset 0
 "Imagined indentation of a Verilog begin that actually follows a statement.")
(defconst verilog-begin 0
 "Extra indentation for begin and end, compared with other text in same context.")
(defconst verilog-argdecl-indent 8
 "Indentation level of declarations of Verilog HDL function arguments.")
(defconst verilog-label-offset -8
 "Offset of Verilog label lines and case statements relative to usual indentation.")
(defconst verilog-continued-statement-offset 8
 "Extra indent for lines not starting new statements.")
(defconst verilog-continued-begin-offset 0
 "Extra indent for substatements that start with open-braces. 
This is in addition to verilog-continued-statement-offset.")

(defconst verilog-auto-newline t
  "*Non-nil means automatically newline before and after braces,
  and after colons and semicolons, inserted in Verilog HDL code.")

(defconst verilog-tab-always-indent t
    "*Non-nil means TAB in Verilog mode should always reindent the current line,
    regardless of where in the line point is when the TAB command is used.")

(defvar verilog-mode-map ()
 "Keymap used in Verilog HDL mode.")
(if verilog-mode-map
    ()
  (setq verilog-mode-map (make-sparse-keymap))
  (define-key verilog-mode-map "{" 'verilog-begin)
  (define-key verilog-mode-map "}" 'verilog-end)
  (define-key verilog-mode-map "\t" 'verilog-indent-command)
  (define-key verilog-mode-map "\e\t" 'indent-relative)
  (define-key verilog-mode-map "\es" 'center-line)
  (define-key verilog-mode-map "\eS" 'center-paragraph)
  (define-key verilog-mode-map "\e;" 'indent-for-comment)
  (define-key verilog-mode-map "\177" 'backward-delete-char-untabify) ;DEL
  (define-key verilog-mode-map "\e\C-h" 'mark-verilog-function)
  (define-key verilog-mode-map "\e\C-q" 'indent-verilog-exp)
  (define-key verilog-mode-map "\e{" 'verilog-paired-braces)
  (define-key verilog-mode-map "\C-ch" 'verilog-header)
  (define-key verilog-mode-map "\C-cm" 'verilog-modify-header)
  (define-key verilog-mode-map "\C-ca" 'verilog-always)
  (define-key verilog-mode-map "\C-ci" 'verilog-initial)
  (define-key verilog-mode-map ";"  'electric-verilog-semi)
  (define-key verilog-mode-map ":"  'electric-verilog-terminator))


(defun verilog-mode ()
  "Major mode for editing Verilog HDL code.  

Comments are delimited with /* and */. When running
indent-for-comment by typing ESC-; a comment is started in
the position of the line determined by the variable comment-column
or directly after the line depending on the line length. You can
hit ESC-; anywhere on the line but the comment is always placed after
the text in the line. Since indent-for-comment places both a begin
comment and an end comment character, end of line comments starting
with // is not supported.  

Instead of typing begin and end use the {} keys and a begin or end
and a newline will be inserted. To insert { and } use ESC-{ which
inserts both { and } and leaves point between them. This works with
paranthesis as well.

Verilog HDL Header: C-ch inserts a predefined header with information
about designer, file name and creation date as the first entry in the
file. This command also inserts module/endmodule commands and should
only be used once, preferably on an empty file.
When the file has been modified use verilog-modify-header to insert
a modification note with changes and date in the current header comment.

The functions verilog-initial and verilog-always inserts an initial block
or an always block with begin and ends on the current line. In the always
case the user is prompted for the always condition

Special commands:\\{verilog-mode-map}

Variables controlling indentation style in Verilog HDL mode:
 verilog-tab-always-indent
    Non-nil means TAB in Verilog HDL mode should always reindent the current line,
    regardless of where in the line point is when the TAB command is used.
 verilog-auto-newline
    Non-nil means automatically newline before and after begin/end,
    and after colons and semicolons, inserted in Verilog code.
 verilog-indent-level
    Indentation of Verilog statements within surrounding block.
    The surrounding block's indentation is the indentation
    of the line on which the begin appears.
 verilog-continued-statement-offset
    Extra indentation given to a substatement, such as the
    then-clause of an if or body of a while.
 verilog-continued-begin-offset
    Extra indentation given to a begin that starts a substatement.
    This is in addition to verilog-continued-statement-offset.
 verilog-begin
    Extra indentation for line if it starts with a begin.
 verilog-beginimaginary-offset
    An open brace following other text is treated as if it were
    this far to the right of the start of its line.
 verilog-argdecl-indent
    Indentation level of declarations of verilog function and task arguments.
 verilog-label-offset
    Extra indentation for line that is a label, or case or default.

Turning on verilog-mode calls the value of the variable verilog-mode-hook,
if that value is non-nil."
  (interactive)
  (kill-all-local-variables)
  (use-local-map verilog-mode-map)
  (setq mode-name "Verilog")
  (setq major-mode 'verilog-mode)
  (setq local-abbrev-table verilog-mode-abbrev-table)
  (set-syntax-table verilog-mode-syntax-table)
  (make-local-variable 'paragraph-start)
  (setq paragraph-start (concat "^$\\|" page-delimiter))
  (make-local-variable 'paragraph-separate)
  (setq paragraph-separate paragraph-start)
  (make-local-variable 'paragraph-ignore-fill-prefix)
  (setq paragraph-ignore-fill-prefix t)
  (make-local-variable 'indent-line-function)
  (setq indent-line-function 'indent-relative-maybe)
  (make-local-variable 'indent-line-function)
  (setq indent-line-function 'verilog-indent-line)
  (make-local-variable 'require-final-newline)
  (setq require-final-newline t)
  (setq tab-width 8)  
  (make-local-variable 'comment-start)
  (setq comment-start "/* ")
  (make-local-variable 'comment-end)
  (setq comment-end " */")
  (make-local-variable 'comment-column)
  (setq comment-column 32)
  (make-local-variable 'comment-start-skip)
  (setq comment-start-skip "/\\*+ *")
  (make-local-variable 'comment-indent-hook)
  (setq comment-indent-hook 'verilog-comment-indent)
  ;; Delete auto save file when file is saved for real if non-nil.
  (make-local-variable 'delete-auto-save-files)
  (setq delete-auto-save-files nil)
  (make-local-variable 'parse-sexp-ignore-comments)
  (setq parse-sexp-ignore-comments t)
  (run-hooks 'verilog-mode-hook))


(defun center-paragraph ()
  "Center each line in the paragraph at or after point.
See center-line for more info."
  (interactive)
  (save-excursion
    (forward-paragraph)
    (or (bolp) (newline 1))
    (let ((end (point)))
      (backward-paragraph)
      (center-region (point) end))))

(defun center-region (from to)
  "Center each line starting in the region.
See center-line for more info."
  (interactive "r")
  (if (> from to)
      (let ((tem to))
	(setq to from from tem)))
  (save-excursion
    (save-restriction
      (narrow-to-region from to)
      (goto-char from)
      (while (not (eobp))
	(center-line)
	(forward-line 1)))))

(defun center-line ()
  "Center the line point is on, within the width specified by `fill-column'.
This means adjusting the indentation to match
the distance between the end of the text and `fill-column'."
  (interactive)
  (save-excursion
    (let (line-length)
      (beginning-of-line)
      (delete-horizontal-space)
      (end-of-line)
      (delete-horizontal-space)
      (setq line-length (current-column))
      (beginning-of-line)
      (indent-to 
	(+ left-margin 
	   (/ (- fill-column left-margin line-length) 2))))))

(defun verilog-comment-indent ()
  "This is used by indent-for-comment to decide how much to indent 
a comment in Verilog HDL code based on its context. "
  (if (looking-at "^/\\*")      ; /* in the beginning of line.
      0				;Existing comment at bol stays there.
    (save-excursion
      (skip-chars-backward " \t")       ; skip all tabs backwards
      (max (1+ (current-column))	;Else indent at comment column
	   comment-column))))	; except leave at least one space.

;; It should be possible to use the same defun for begin and end
;; if you test to see which character is typed.

(defun verilog-begin (arg)
  "Insert a begin  and correct line's indentation when a { is typed."
  (interactive "P")
  (let (insertpos)
    (if (and (not arg)
	     (eolp)
	     (or (save-excursion
		   (skip-chars-backward " \t")
		   (bolp))
		 (if verilog-auto-newline (progn (verilog-indent-line) (newline) t) nil)))
	(progn
	  (insert "begin ")
	  (verilog-indent-line)
	  (if verilog-auto-newline
	      (progn
		(newline)
		;; (newline) may have done auto-fill
		(setq insertpos (- (point) 2))
		(verilog-indent-line)))
	  (save-excursion
	    (if insertpos (goto-char (1+ insertpos)))
	    (delete-char -1))))
    (if insertpos
	(save-excursion
	  (goto-char insertpos)))))
	  ;;(self-insert-command (prefix-numeric-value arg)))
      ;;(self-insert-command (prefix-numeric-value arg)))))


(defun verilog-end (arg)
  "Insert an end and correct line's indentation when a } is typed."
  (interactive "P")
  (let (insertpos)
    (if (and (not arg)
	     (eolp)
	     (or (save-excursion
		   (skip-chars-backward " \t")
		   (bolp))
		 (if verilog-auto-newline (progn (verilog-indent-line) (newline) t) nil)))
	(progn
	  (insert "end ")
	  (verilog-indent-line)
	  (if verilog-auto-newline
	      (progn
		(newline)
		;; (newline) may have done auto-fill
		(setq insertpos (- (point) 2))
		(verilog-indent-line)))
	  (save-excursion
	    (if insertpos (goto-char (1+ insertpos)))
	    (delete-char -1))))
    (if insertpos
	(save-excursion
	  (goto-char insertpos)))))
	  ;;(self-insert-command (prefix-numeric-value arg)))
      ;;(self-insert-command (prefix-numeric-value arg)))))

(defun electric-verilog-semi (arg)
  "Insert character and correct line's indentation."
  (interactive "P")
  (if verilog-auto-newline
      (electric-verilog-terminator arg)
    (self-insert-command (prefix-numeric-value arg))))

(defun electric-verilog-terminator (arg)
  "Insert character and correct line's indentation."
  (interactive "P")
  (let (insertpos (end (point)))
    (if (and (not arg) (eolp)
	     (not (save-excursion
		    (beginning-of-line)
		    (skip-chars-forward " \t")
		    (or (= (following-char) ?#)
			;; Colon is special only after a label, or case ....
			;; So quickly rule out most other uses of colon
			;; and do no indentation for them.
			(and (eq last-command-char ?:)
			     (not (looking-at "case[ \t]"))
			     (save-excursion
			       (forward-word 1)
			       (skip-chars-forward " \t")
			       (< (point) end)))
			(progn
			  (beginning-of-defun)
			  (let ((pps (parse-partial-sexp (point) end)))
			    (or (nth 3 pps) (nth 4 pps) (nth 5 pps))))))))
	(progn
	  (insert last-command-char)
	  (verilog-indent-line)
	  (and verilog-auto-newline
	       (not (verilog-inside-parens-p))
	       (progn
		 (newline)
		 (setq insertpos (- (point) 2))
		 (verilog-indent-line)))
	  (save-excursion
	    (if insertpos (goto-char (1+ insertpos)))
	    (delete-char -1))))
    (if insertpos
	(save-excursion
	  (goto-char insertpos)
	  (self-insert-command (prefix-numeric-value arg)))
      (self-insert-command (prefix-numeric-value arg)))))

(defun verilog-inside-parens-p ()
  (condition-case ()
      (save-excursion
	(save-restriction
	  (narrow-to-region (point)
			    (progn (beginning-of-defun) (point)))
	  (goto-char (point-max))
	  (= (char-after (or (scan-lists (point) -1 1) (point-min))) ?\()))
    (error nil)))

(defun verilog-paired-braces ()
  "Insert a pair of braces, placing point between them."
  (interactive)
  (insert "{}")
  (backward-char))

(defun verilog-indent-command (&optional whole-exp)
  "Indent current line as Verilog code, or in some cases insert a tab character.
If verilog-tab-always-indent is non-nil (the default), always indent current line.
Otherwise, indent the current line only if point is at the left margin
or in the line's indentation; otherwise insert a tab.

A numeric argument, regardless of its value,
means indent rigidly all the lines of the expression starting after point
so that this line becomes properly indented.
The relative indentation among the lines of the expression are preserved."
  (interactive "P")
  (if whole-exp
      ;; If arg, always indent this line as Verilog code
      ;; and shift remaining lines of expression the same amount.
      (let ((shift-amt (verilog-indent-line))
	    beg end)
	(save-excursion
	  (if verilog-tab-always-indent
	      (beginning-of-line))
	  (setq beg (point))
	  (forward-sexp 1)
	  (setq end (point))
	  (goto-char beg)
	  (forward-line 1)
	  (setq beg (point)))
	(if (> end beg)
	    (indent-code-rigidly beg end shift-amt "#")))
    (if (and (not verilog-tab-always-indent)
	     (save-excursion
	       (skip-chars-backward " \t")
	       (not (bolp))))
	(insert-tab)
      (verilog-indent-line))))

(defun verilog-indent-line ()
  "Indent current line as Verilog HDL code.
Return the amount the indentation changed by."
  (let ((indent (calculate-verilog-indent nil))
	beg shift-amt
	(case-fold-search nil)
	(pos (- (point-max) (point))))
    (beginning-of-line)
    (setq beg (point))
    (cond ((eq indent nil)
	   (setq indent (current-indentation)))
	  ((eq indent t)
	   (setq indent (calculate-verilog-indent-within-comment)))
	  ((looking-at "[ \t]*#")
	   (setq indent 0))
	  (t
	   (skip-chars-forward " \t")
	   (if (listp indent) (setq indent (car indent)))
	   (cond ((or (looking-at "case[ \t]")
		      (and (looking-at "[A-Za-z]")
			   (save-excursion
			     (forward-sexp 1)
			     (looking-at ":"))))
		  (setq indent (max 1 (+ indent verilog-label-offset))))
		 ((and (looking-at "else\\b")
		       (not (looking-at "else\\s_")))
		  (setq indent (save-excursion
				 (verilog-backward-to-start-of-if)
				 (current-indentation))))
		 ((= (following-char) ?})
		  (setq indent (- indent verilog-indent-level)))
		 ((= (following-char) ?{)
		  (setq indent (+ indent verilog-begin))))))
    (skip-chars-forward " \t")
    (setq shift-amt (- indent (current-column)))
    (if (zerop shift-amt)
	(if (> (- (point-max) pos) (point))
	    (goto-char (- (point-max) pos)))
      (delete-region beg (point))
      (indent-to indent)
      ;; If initial point was within line's indentation,
      ;; position after the indentation.  Else stay at same point in text.
      (if (> (- (point-max) pos) (point))
	  (goto-char (- (point-max) pos))))
    shift-amt))

(defun calculate-verilog-indent (&optional parse-start)
  "Return appropriate indentation for current line as Verilog HDL code.
In usual case returns an integer: the column to indent to.
Returns nil if line starts inside a string, t if in a comment."
  (save-excursion
    (beginning-of-line)
    (let ((indent-point (point))
	  (case-fold-search nil)
	  state
	  containing-sexp)
      (if parse-start
	  (goto-char parse-start)
	(beginning-of-defun))
      (while (< (point) indent-point)
	(setq parse-start (point))
	(setq state (parse-partial-sexp (point) indent-point 0))
	(setq containing-sexp (car (cdr state))))
      (cond ((or (nth 3 state) (nth 4 state))
	     ;; return nil or t if should not change this line
	     (nth 4 state))
	    ((null containing-sexp)
	     ;; Line is at top level.  May be data or function definition,
	     ;; or may be function argument declaration.
	     ;; Indent like the previous top level line
	     ;; unless that ends in a closeparen without semicolon,
	     ;; in which case this line is the first argument decl.
	     (goto-char indent-point)
	     (skip-chars-forward " \t")
	     (if (= (following-char) ?{)
		 0   ; Unless it starts a function body
	       (verilog-backward-to-noncomment (or parse-start (point-min)))
	       ;; Look at previous line that's at column 0
	       ;; to determine whether we are in top-level decls
	       ;; or function's arg decls.  Set basic-verilog-indent accordinglu.
	       (let ((basic-indent
		      (save-excursion
			(re-search-backward "^[^ \^L\t\n#]" nil 'move)
			(if (and (looking-at "\\sw\\|\\s_")
				 (looking-at ".*(")
				 (progn
				   (goto-char (1- (match-end 0)))
				   (forward-sexp 1)
				   (and (< (point) indent-point)
					(not (memq (following-char)
						   '(?\, ?\;))))))
			    verilog-argdecl-indent 0))))
		 ;; Now add a little if this is a continuation line.
		 (+ basic-indent (if (or (bobp)
					 (memq (preceding-char) '(?\) ?\; ?\})))
				     0 verilog-continued-statement-offset)))))
	    ((/= (char-after containing-sexp) ?{)
	     ;; line is expression, not statement:
	     ;; indent to just after the surrounding open.
	     (goto-char (1+ containing-sexp))
	     (current-column))
	    (t
	     ;; Statement level.  Is it a continuation or a new statement?
	     ;; Find previous non-comment character.
	     (goto-char indent-point)
	     (verilog-backward-to-noncomment containing-sexp)
	     ;; Back up over label lines, since they don't
	     ;; affect whether our line is a continuation.
	     (while (or (eq (preceding-char) ?\,)
			(and (eq (preceding-char) ?:)
			     (or (eq (char-after (- (point) 2)) ?\')
				 (memq (char-syntax (char-after (- (point) 2)))
				       '(?w ?_)))))
	       (if (eq (preceding-char) ?\,)
		   (verilog-backward-to-start-of-continued-exp containing-sexp))
	       (beginning-of-line)
	       (verilog-backward-to-noncomment containing-sexp))
	     ;; Now we get the answer.
	     (if (not (memq (preceding-char) '(nil ?\, ?\; ?\} ?\{)))
		 ;; This line is continuation of preceding line's statement;
		 ;; indent  verilog-continued-statement-offset  more than the
		 ;; previous line of the statement.
		 (progn
		   (verilog-backward-to-start-of-continued-exp containing-sexp)
		   (+ verilog-continued-statement-offset (current-column)
		      (if (save-excursion (goto-char indent-point)
					  (skip-chars-forward " \t")
					  (eq (following-char) ?{))
			  verilog-continued-begin-offset 0)))
	       ;; This line starts a new statement.
	       ;; Position following last unclosed open.
	       (goto-char containing-sexp)
	       ;; Is line first statement after an open-brace?
	       (or
		 ;; If no, find that first statement and indent like it.
		 (save-excursion
		   (forward-char 1)
		   (let ((colon-line-end 0))
		     (while (progn (skip-chars-forward " \t\n")
				   (looking-at "#\\|/\\*\\|case[ \t\n].*:\\|[a-zA-Z0-9_$]*:"))
		       ;; Skip over comments and labels following openbrace.
		       (cond ((= (following-char) ?\#)
			      (forward-line 1))
			     ((= (following-char) ?\/)
			      (forward-char 2)
			      (search-forward "*/" nil 'move))
			     ;; case or label:
			     (t
			      (save-excursion (end-of-line)
					      (setq colon-line-end (point)))
			      (search-forward ":"))))
		     ;; The first following code counts
		     ;; if it is before the line we want to indent.
		     (and (< (point) indent-point)
			  (if (> colon-line-end (point))
			      (- (current-indentation) verilog-label-offset)
			    (current-column)))))
		 ;; If no previous statement,
		 ;; indent it relative to line brace is on.
		 ;; For open brace in column zero, don't let statement
		 ;; start there too.  If verilog-indent-level is zero,
		 ;; use verilog-begin + verilog-continued-statement-offset instead.
		 ;; For open-braces not the first thing in a line,
		 ;; add in verilog-beginimaginary-offset.
		 (+ (if (and (bolp) (zerop verilog-indent-level))
			(+ verilog-begin verilog-continued-statement-offset)
		      verilog-indent-level)
		    ;; Move back over whitespace before the openbrace.
		    ;; If openbrace is not first nonwhite thing on the line,
		    ;; add the verilog-beginimaginary-offset.
		    (progn (skip-chars-backward " \t")
			   (if (bolp) 0 verilog-beginimaginary-offset))
		    ;; If the openbrace is preceded by a parenthesized exp,
		    ;; move to the beginning of that;
		    ;; possibly a different line
		    (progn
		      (if (eq (preceding-char) ?\))
			  (forward-sexp -1))
		      ;; Get initial indentation of the line we are on.
		      (current-indentation))))))))))

(defun calculate-verilog-indent-within-comment ()
  "Return the indentation amount for line, assuming that
the current line is to be regarded as part of a block comment."
  (let (end star-start)
    (save-excursion
      (beginning-of-line)
      (skip-chars-forward " \t")
      (setq star-start (= (following-char) ?\*))
      (skip-chars-backward " \t\n")
      (setq end (point))
      (beginning-of-line)
      (skip-chars-forward " \t")
      (and (re-search-forward "/\\*[ \t]*" end t)
	   star-start
	   (goto-char (1+ (match-beginning 0))))
      (current-column))))


(defun verilog-backward-to-noncomment (lim)
  (let (opoint stop)
    (while (not stop)
      (skip-chars-backward " \t\n\f" lim)
      (setq opoint (point))
      (if (and (>= (point) (+ 2 lim))
	       (save-excursion
		 (forward-char -2)
		 (looking-at "\\*/")))
	  (search-backward "/*" lim 'move)
	(setq stop (or (<= (point) lim)
		       (save-excursion
			 (beginning-of-line)
			 (skip-chars-forward " \t")
			 (not (looking-at "#")))))
	(or stop (beginning-of-line))))))

(defun verilog-backward-to-start-of-continued-exp (lim)
  (if (= (preceding-char) ?\))
      (forward-sexp -1))
  (beginning-of-line)
  (if (<= (point) lim)
      (goto-char (1+ lim)))
  (skip-chars-forward " \t"))

(defun verilog-backward-to-start-of-if (&optional limit)
  "Move to the start of the last ``unbalanced'' if."
  (or limit (setq limit (save-excursion (beginning-of-defun) (point))))
  (let ((if-level 1)
	(case-fold-search nil))
    (while (not (zerop if-level))
      (backward-sexp 1)
      (cond ((looking-at "else\\b")
	     (setq if-level (1+ if-level)))
	    ((looking-at "if\\b")
	     (setq if-level (1- if-level)))
	    ((< (point) limit)
	     (setq if-level 0)
	     (goto-char limit))))))

(defun mark-verilog-function ()
  "Put mark at end of Verilog task or function, point at beginning."
  (interactive)
  (push-mark (point))
  (end-of-defun)
  (push-mark (point))
  (beginning-of-defun)
  (backward-paragraph))

(defun verilog-header ()
  "Insert a comment block containing the module title, author, file name
and current date. It also inserts the bare bones of a module with name."
  (interactive)
  (save-excursion
    (goto-char (point-min))
    (insert "/*\n*\tTitle: \t")
    (insert (read-string "Title: "))
    (insert "\n*\tFile:\t " (buffer-name))
    (insert "\n*")
    (insert "\n*\tCreated:\t" (concat (substring(current-time-string)4 16)
				      (substring(current-time-string)19 24)))
    (insert "\n*\tAuthor: \t" (user-full-name))
    (insert "\n*\t\t\t<" (user-login-name) "@" (system-name) ">\n*\n")
    (insert "*\t(c) 1991 Bell Northern Research Ltd.\n")
    (insert "*\t\tProtected as an unpublished work.\n")
    (insert "*\t\tConfidential and proprietary to BNR Ltd.\n")
    (insert "*\n")
    (insert "*\tModification History:\n")
    (insert "*\t"(concat (substring (current-time-string)4 16)
			 (substring (current-time-string)19 24))
	    " - Initial version.\n")
    (insert "*\n")
    (insert "*\tThe following OUTPUTS are generated:\n")
    (insert "*\n")
    (insert "*\tThe following INPUTS are required:\n")
    (insert "*\n")
    (insert "*/\n\n")
    (insert "module " (substring (buffer-name) 0 (-(length(buffer-name))2))" ();\n")
    (insert "\nendmodule") ))

(defun verilog-modify-header ()
  "Insert a modification comment inside the current header comment"
  (interactive)
  (save-excursion
    (goto-char (point-min))
    (re-search-forward "Modification History:")
    (forward-line)
    (insert "*\t"(concat (substring (current-time-string) 4 16)
			 (substring (current-time-string)19 24)))
    (insert " - ")
    (insert (read-string "Changes: "))
    (insert "\n*\n")))
  

(defun indent-verilog-exp ()
  "Indent each line of the Verilog grouping following point."
  (interactive)
  (let ((indent-stack (list nil))
	(contain-stack (list (point)))
	(case-fold-search nil)
	restart outer-loop-done inner-loop-done state ostate
	this-indent last-sexp
	at-else at-brace
	(opoint (point))
	(next-depth 0))
    (save-excursion
      (forward-sexp 1))
    (save-excursion
      (setq outer-loop-done nil)
      (while (and (not (eobp)) (not outer-loop-done))
	(setq last-depth next-depth)
	;; Compute how depth changes over this line
	;; plus enough other lines to get to one that
	;; does not end inside a comment or string.
	;; Meanwhile, do appropriate indentation on comment lines.
	(setq inner-loop-done nil)
	(while (and (not inner-loop-done)
		    (not (and (eobp) (setq outer-loop-done t))))
	  (setq ostate state)
	  (setq state (parse-partial-sexp (point) (progn (end-of-line) (point))
					  nil nil state))
	  (setq next-depth (car state))
	  (if (and (car (cdr (cdr state)))
		   (>= (car (cdr (cdr state))) 0))
	      (setq last-sexp (car (cdr (cdr state)))))
	  (if (or (nth 4 ostate))
	      (verilog-indent-line))
	  (if (or (nth 3 state))
	      (forward-line 1)
	    (setq inner-loop-done t)))
	(if (<= next-depth 0)
	    (setq outer-loop-done t))
	(if outer-loop-done
	    nil
	  ;; If this line had ..))) (((.. in it, pop out of the levels
	  ;; that ended anywhere in this line, even if the final depth
	  ;; doesn't indicate that they ended.
	  (while (> last-depth (nth 6 state))
	    (setq indent-stack (cdr indent-stack)
		  contain-stack (cdr contain-stack)
		  last-depth (1- last-depth)))
	  (if (/= last-depth next-depth)
	      (setq last-sexp nil))
	  ;; Add levels for any parens that were started in this line.
	  (while (< last-depth next-depth)
	    (setq indent-stack (cons nil indent-stack)
		  contain-stack (cons nil contain-stack)
		  last-depth (1+ last-depth)))
	  (if (null (car contain-stack))
	      (setcar contain-stack (or (car (cdr state))
					(save-excursion (forward-sexp -1)
							(point)))))
	  (forward-line 1)
	  (skip-chars-forward " \t")
	  (if (eolp)
	      nil
	    (if (and (car indent-stack)
		     (>= (car indent-stack) 0))
		;; Line is on an existing nesting level.
		;; Lines inside parens are handled specially.
		(if (/= (char-after (car contain-stack)) ?{)
		    (setq this-indent (car indent-stack))
		  ;; Line is at statement level.
		  ;; Is it a new statement?  Is it an else?
		  ;; Find last non-comment character before this line
		  (save-excursion
		    (setq at-else (looking-at "else\\W"))
		    (setq at-brace (= (following-char) ?{))
		    (verilog-backward-to-noncomment opoint)
		    (if (not (memq (preceding-char) '(nil ?\, ?\; ?} ?: ?{)))
			;; Preceding line did not end in comma or semi;
			;; indent this line  verilog-continued-statement-offset
			;; more than previous.
			(progn
			  (verilog-backward-to-start-of-continued-exp (car contain-stack))
			  (setq this-indent
				(+ verilog-continued-statement-offset (current-column)
				   (if at-brace verilog-continued-begin-offset 0))))
		      ;; Preceding line ended in comma or semi;
		      ;; use the standard indent for this level.
		      (if at-else
			  (progn (verilog-backward-to-start-of-if opoint)
				 (setq this-indent (current-indentation)))
			(setq this-indent (car indent-stack))))))
	      ;; Just started a new nesting level.
	      ;; Compute the standard indent for this level.
	      (let ((val (calculate-verilog-indent<
			   (if (car indent-stack)
			       (- (car indent-stack))))))
		(setcar indent-stack
			(setq this-indent val))))
	    ;; Adjust line indentation according to its contents
	    (if (or (looking-at "case[ \t]")
		    (and (looking-at "[A-Za-z]")
			 (save-excursion
			   (forward-sexp 1)
			   (looking-at ":"))))
		(setq this-indent (max 1 (+ this-indent verilog-label-offset))))
	    (if (= (following-char) ?})
		(setq this-indent (- this-indent verilog-indent-level)))
	    (if (= (following-char) ?{)
		(setq this-indent (+ this-indent verilog-begin)))
	    ;; Put chosen indentation into effect.
	    (or (= (current-column) this-indent)
		(= (following-char) ?\#)
		(progn
		  (delete-region (point) (progn (beginning-of-line) (point)))
		  (indent-to this-indent)))
	    ;; Indent any comment following the text.
	    (or (looking-at comment-start-skip)
		(if (re-search-forward comment-start-skip (save-excursion (end-of-line) (point)) t)
		    (progn (indent-for-comment) (beginning-of-line)))))))))
; (message "Indenting Verilog  expression...done")
  )

(defun verilog-always ()
  "Insert the structure of an always-block on the current line and prompts
for the always condition"
  (interactive)         ;make it a callable function
    (save-excursion
      (insert "always @ (")
      (insert (read-string "Conditions: "))
      (insert ")\n")
      (insert "begin\n")
      (insert "\nend")))

(defun verilog-initial ()
  "Insert the structure of an init-block on the current line."
  (interactive)         ;make it a callable function
    (save-excursion
      (insert "initial\n")
      (insert "begin\n")
      (insert "\nend")))


--
 Regards,

        Anders Nordstrom

        MSS, Dept. 5S45 IC Development
        BNR Ltd. Carling
	NetNorth/BITNET andersn@bnr.ca
 Phone: x3-9186 (ESN 393-3127, PUBLIC 613-763-9186)
   Fax: x3-2626 (ESN 393-2626, PUBLIC 613-763-2626)