[sci.electronics] how to make a multiplier....

richm@amc-gw.amc.com (Rich Moran) (03/17/90)

In article <44900004@uxa.cso.uiuc.edu> lll10246@uxa.cso.uiuc.edu writes:
}
}can anyone offer any suggestions about the following problem....
}
}	How to make a multiplier with 2 4 bit registers (95's).
}	I thought of just using multiple adds, but if this is the best 
}way, any suggestions on how to implement it?  Thanx for any info.
}
}				lll10246 - The Mailman -


How about a 74285 4x4-bit multiplier?

-- 
=============================================
Rich Moran
richm@amc.com
=============================================